复盘ASML:半导体光刻机产业报告

推荐会员: lex     发布时间: 2020-08-09 11:15  阅读次数: 1,289 views
1、 提要:光刻工艺是晶圆制造最核心环节,光刻产业链协同发展成为光刻机突破关键因子
1.1 光刻定义晶体管尺寸,光刻工艺合计占芯片成本近 30%
2019 年全球半导体市场规模达 4090 亿美元,成为数码产业的基石。第二次工业革命就 是数码产业的革命,据麦肯锡预测,2020 年全球数码产业将占全球企业总产值的 41%,而 半导体则成为数码产业的基石。根据 WSTS 统计,2019 年全球半导体市场份额达 4090 亿 美元,其中集成电路占比达 81%,集成电路中的逻辑 IC 和存储器是推动摩尔定律发展的主 要力量,两者合计占半导体整体市场规模的 52%,市场规模达 2127 亿美元。

半导体产业链分为设计、制造、封测三大环节,设备成为半导体产业支柱。芯片设计主 要根据芯片的设计目的进行逻辑设计和规则制定,并根据设计图制作掩模以供后续光刻步骤 使用。芯片制造实现芯片电路图从掩模上转移至硅片上,并实现预定的芯片功能,包括光刻、 刻蚀、离子注入、薄膜沉积、化学机械研磨等步骤。芯片封测完成对芯片的封装和性能、功 能测试,是产品交付前的最后工序。半导体设备贯穿设计、制造、封测三大流程,成为半导 体产业的支柱。据 Semi 统计,2019 年全球半导体设备市场达 597.4 亿美元,设备投资占晶 圆厂整体资本支出的 70%-80%,其中用于芯片制造的设备占半导体设备总支出的 81%。

14nm 及以下先进制程应用广泛且不断进步,光刻、刻蚀、沉积设备成为投资重点。晶 体管线宽在 28nm 以内的称为先进制程,目前台积电、三星两家晶圆厂较先进工艺可将制程 推进到 5nm 级别,其中台积电为全球较大晶圆代工厂,全球代工市占率达 50.5%,2019 年 台积电 28nm 以内制程收入占比达 67%,其中 16nm(与三星、中芯国际 14nm 处于同一竞 争序列)及以内制程收入贡献达 50%。受益于高压驱动、图像传感器、射频等应用的需求增 加,根据 IHS Markit 统计,28 纳米制程的集成电路晶圆代工市场将保持稳定增长,预计 2024 年全球 市场规模将达到 98 亿美元。14 纳米及以下更先进制程的集成电路晶圆代工市场将 保持快速增长,预计 2024 年全球市场规模将达 386 亿美元,2018 年至 2024 年的复合增长 率将达 19%。
光刻、刻蚀、薄膜沉积设备三大设备成为推动 28nm 及以下先进工艺发展的主要力量, 分别占半导体晶圆处理设备的 23%、24%、18%。
光刻定义了晶体管尺寸,是集成电路生产中的最核心工艺,占晶圆制造耗时的 40%-50%。 光刻工艺是 IC 制造中最关键、最复杂和占用时间比较大的步骤,光刻的原理是在硅片表面 覆盖一层具有高度光敏感性光刻胶,再用光线(一般是紫外光、深紫外光、极紫外光)透过 掩模照射在硅片表面,被光线照射到的光刻胶会发生化学反应。此后用特定显影液洗去被照 射/未被照射的光刻胶,就实现了电路图从掩模到硅片的转移。一般的光刻工艺要经历气相成 底膜、旋转涂胶、软烘、对准与曝光、曝光后烘培、显影、坚膜烘培、显影检查等工序,光 刻工艺占晶圆制造耗时的 40%-50%,光刻机约占晶圆制造设备投资额的 23%,考虑到光刻 工艺步骤中的光刻胶、光刻气体、光罩(光掩膜版)、涂胶显影设备等诸多配套设施和材料 投资,整个光刻工艺占芯片成本的 30%左右。

1.2 区别于其他晶圆制造设备,光刻机独有自身产业链概念
区别于晶圆制造其他工艺,光刻机组件及配套设施复杂,形成自身产业链概念。光 刻机的制造研发并不是某一个企业能够单独完成的,光刻作为晶圆制造过程中最复杂、最 重要的步骤,主要体现在光刻产业链高端复杂,需要很多顶尖的企业相互配合才可以完成。光刻产业链主要体现在两点上,一是作为光刻核心设备的光刻机组件复杂,包括光源、 镜头、激光器、工作台等组件技术往往只被全球少数几家公司掌握,二是作为与光刻机 配套的光刻胶、光刻气体、光罩(光掩膜版)等半导体材料和涂胶显影设备等同样拥有 较高的科技含量。

随着制程精度提升,光刻机复杂程度提高,贯通光刻产业链成为 ASML 垄断光刻市场 的关键。摩尔定律的进步伴随着工艺与设备的双重突破,光刻设备作为推动摩尔定律的核心 设备,截止目前光刻机已经历经五代发展,随着制程精度提升,自身复杂程度也在不断提高, 以 ASML 的 EUV 光刻机为例,7nm 的 EUV 光刻机内部共有 10 万个零件,重达 180 吨,包 含硅片输运分系统、硅片平台分系统、掩膜版输运分系统、系统测量与校正分系统、成像分 系统、光源分系统等 13 个系统,90%的关键设备来自外国而非荷兰本国,ASML 作为整机 公司,实质上只负责光刻机设计与集成各模块,需要全而精的上游产业链作坚实支撑。透视 ASML 的 5000 多个供应商,其中与产品相关的供应商提供直接用于生产光刻系统的材料、 设备、零部件和工具,这个类别包括 790 家供应商,占 ASML 总开支的 66%。

日、美配套光刻胶、光刻气体等材料和设备紧紧追随 ASML 产品迭代。由于 ASML 统 治全球高端光刻市场,众多配套设备材料和设备厂商纷纷追随 ASML 产品的技术工艺。配套 光刻气体方面,美国空气化工产品(APD)、英国林德集团均有相应布局,日本合成橡胶(JSR)、 东京应化、信越化学和富士胶片等日本企业则统治了光刻胶市场,仅有美国杜邦公司有一定 竞争力,其中东京应化已实现极紫外光刻胶量产,日本合成橡胶紫外光刻胶即将量产。配套 设备方面,光刻工序中的涂胶显影设备主要被日本东京电子、DNS、德国苏斯微和台湾亿力 鑫 ELS 占据。
ASML 技术服务基地落户无锡,进一步完善自身在中国市场的产业链覆盖。据 WSTS 和日本半导体设备制造装置协会统计,2019 年中国大陆半导体销售额达 1432.4 亿美元,占 全球半导体市场的 34.7%,位列全球第一;中国大陆半导体设备销售规模达 134.5 亿美元, 占全球的 22.5%,仅次于中国台湾,全球半导体产业转移正在加速向大陆转移,也使 ASML 加快了在中国的业务布局。2020 年 5 月 14 日,半导体制造设备厂商阿斯麦(ASML)与无 锡高新区举行了“阿斯麦光刻设备技术服务(无锡)基地签约仪式”,光刻设备技术服务(无 锡)基地涵盖两大业务板块:面积约 2000 余平米,拥有近 200 人规模专业团队的技术中心, 从事光刻设备的维护、升级等技术服务;以及面积约 2000 余平米的供应链服务中心,为客 户提供高效的供应链服务,为设备安装,升级及生产运营等所需的物料提供更高水准的物流 支持。无锡作为国内继上海之后第二个集成电路产值破千亿的城市,集聚了华虹、SK 海力 士、长电科技、中环领先、卓胜微等半导体企业。在进一步完善中国区市场的产业链供应后, ASML 已经形成全球最全也是最强大的光刻机供应链体系。
2、 复盘:ASML 如何通过光刻产业链垄断全球光刻机市场
浸没式技术与 EUV 光刻产业链构建成为 ASML 发展的两大里程碑事件。上世纪 50 年 代末,仙童半导体发明掩膜版曝光刻蚀技术,拉开了现代光刻机发展的大幕,在 ASML 成立 之前,光刻机光源还是以高压汞灯光源(g-line/i-line)为主,ArF、KrF 等准分子激光光源概 念刚刚被提出,光刻机工艺技术从接触式、接近式发展到步进投影式。目前 ASML 在浸没式 DUV 光刻机市占率达 97%,EUV 光刻机市占率 100%,按营收计算为全球第二大半导体设 备公司。复盘 ASML 过往 36 年发展历程,面对美、日等竞争对手,ASML 主要通过两个关 键节点成为全球霸主,分别为浸没式系统的使用和 EUV 产业链的构建。根据这两个节点, 可将 ASML 的发展分为三个过程:1)1984 年成立到 20 世纪末:凭借 PAS5500 系列在 i-line、干法准分子光源光刻领域 占有一席之地;2)21 世纪初的 10 年:依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻 机头号厂商;
1)1984 年成立到 20 世纪末:凭借 PAS5500 系列在 i-line、干法准分子光源光刻领域 占有一席之地;
2)21 世纪初的 10 年:依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻 机头号厂商;
3)2010 年以后,打通 EUV 产业链,推出 EUV 光刻机,成为高端光刻市场垄断玩 家。
2.1 ASML 成立之前:光刻机即将进入准分子激光时代,美国三雄 称霸光刻市场
i-line 与步进投影为光刻主流技术。1960 年代,位于加州硅谷的仙童半导体发明了至今 仍在使用的掩膜版光刻技术。70 年代初,Kasper 仪器公司发明接触式对齐机台,但随后接 近式光刻机台逐渐淘汰接触式机台。1973 年,拿到美国军方投资的 Perkin Elmer 公司推出 了投影式光刻系统,搭配正性光刻胶非常好用而且良率颇高,因此迅速占领了市场。1978 年,GCA 推出真正现代意义的自动化步进式光刻机(Stepper) GCA8500,分辨率比投影式高 5 倍达到 1 微米。1980 年尼康发售了自己首台商用 Stepper NSR-1010G(1.0um),拥有更 先进的光学系统(光源还是 i-line)极大提高了产能。与 GCA 的 stepper 一起统治主流市场。1982 年,IBM 的 Kanti Jain 开创性的提出准分子激光光刻(光源为 KrF 和 ArF)。

美国三雄统治 1980 年之前的光刻机市场,日本佳能、尼康抓住产业转移机会接棒。美 国作为半导体技术的诞生地,自然汇集了光刻机产业早期的垄断霸主,1980 年代前的全球 光刻机市场主要被三家美国光刻机厂商 GCA、Ultratech 和 P&E 垄断。1980 年代末全球半 导体市场遭遇危机,日本的尼康和佳能抓住同时期日本半导体产业大发展的机遇,取代三家 美国光刻机厂商成为国际光刻机市场的主导者。尤其是尼康,从 80 年代后期开始市场占有 率便超过 50%,一直到 ASML 崛起为止;佳能则凭借对准器的优势也占领了一席之地。而 三家美国光刻机厂商 GCA、Ultratech 和 P&E 则均因为严重的财务问题而被收购或被迫转型。

2.2 1984-2000:PAS5500 帮助公司立足全球光刻市场
ASML 成立于 1984 年,脱胎于飞利浦实验室。ASML 成立于 1984 年,由菲利普和覆 盖沉积、离子注入、封装设备的 ASMI 合资创办,主营业务来源于菲利普原本计划关停的光 刻设备业务。在 ASML 成立的 1984 年,尼康和 GCA 分别占国际光刻机市场三成,Ultratech 占约一成,Eaton、P&E、佳能、日立等均不到 5%。1988 年,ASML 跟随飞利浦在台湾的 合资流片工厂台积电开拓了亚洲业务,彼时,刚刚成立不久的台积电为 ASML 送来急需的 17 台光刻机订单,使得 ASML 的国际化拓展初见成功。尽管如此,在异常激烈的市场竞争 下,初创期的 ASML 还不能完全自立,产品没有明显技术优势,客户数量屈指可数。在 1980 年代末的半导体市场危机中,由于投资巨大且短期内难以看到回报,ASML 的两大股东 ASMI 和飞利浦均有退出投资的倾向,但最后 ASMI 将股权出售给飞利浦公司,后者则继续支持 ASML 的光刻设备业务。
凭借 PAS5500 系列获得突破,开拓新兴市场,与日本厂商差距缩小。1991 年,ASML 推出 PAS5500 系列光刻机,这一设计超前的 8 英寸光刻机具有业界领先的生产效率和精度, 成为扭转时局的重要产品。PAS5500 为 ASML 带来台积电、三星和现代等关键客户,通过 对 PAS5500,大多数客户建立起对 ASML 产品的深厚信任,并决定几乎全部改用 ASML 的 光刻设备,到 1994 年,公司市占率已经提升至 18%。1995 年 ASML 分别在阿姆斯特丹及 纽约上市。ASML 利用 IPO 资金进一步扩大研发与生产规模,其中扩建了位于荷兰埃因霍温 的厂房,现已成为公司新总部。市场策略方面,尼康与佳能正携上位之余威,加速占领美国市场。而 ASML 则避其锋芒,将重点放在新兴市场,在欧洲、中国台湾、韩国等地区攻城略 地。由于 ASML 多方面主动出击,公司获得了极大的发展。1999 年公司营收首次突破 10 亿欧元,达到 12 亿欧元;而 2000 年时营收更是翻了两倍以上,达到 27 亿欧元。

2.3 2001-2010:双工作台技术提升效率,先发浸没式系统打败尼 康、佳能
Twinscan 双工件台系统将生产效率提升 35%,精度提升 10%。在 2000 年前的光刻设 备只有一个工作台,晶圆片的对准与蚀刻流程都在上面完成。ASML 公司在 2001 年推出的 Twinscan 双工件台系统,在对一块晶圆曝光的同时测量对准另外一块晶圆,从而大大提升 了系统的生产效率和较精确率,并在第一时间得到结果反馈,生产效率提高大约 35%,精度提 高 10%以上。双工件台对转移速度和精度有非常高的要求,ASML 开发出磁悬浮工件台系统,使得系统能克服摩擦系数和阻尼系数,其加工速度和精度明显超越机械式和气浮式工 件台。双工件台技术几乎应用于 ASML 所有系列的光刻机,成为 ASML 垄断的隐形技术优 势。

浸没式系统打破光源波长瓶颈。光刻设备中最初采用的干式微影技术沿用到上世纪 90 年代(镜头、光源等一直在改进),然后遇到瓶颈:始终无法将光刻光源的 193nm 波长缩短 到 157nm。为缩短光波长度,全球半导体产业精英及专家,提出了多种方案,其中包括 157nm F2 激光、电子束投射(EPL)、离子投射(IPL)、EUV(13.5nm)和 X 光。但这些方案要么需要增 大投资成本,要么以当时的技术难以实现(比如极紫外(EUV)光刻)。各大厂家都只能对 干法系统进行微小升级,且均无法在市场中占据完全主导地位。2002 年,时任台积电研发 副总、世界微影技术权威林本坚博士提出了一个简单解决办法:放弃突破 157nm,退回到 技术成熟的 193nm,把透镜和硅片之间的介质从空气换成水,由于水对 193nm 光的折射率 高达 1.44,那么波长可缩短为 193/1.44=134nm,大大超过攻而不克的 157nm。

ASML 率先突破浸没式系统,自此引领全球光刻市场。由于尼康已经在 157nm F2激光 和电子束投射(EPL)上付出了巨大的沉没成本,因此没有采纳这一捷径。而 ASML 抓住机会, 决定与台积电合作,在 2003 年开发出了首台样机 TWINSCAN AT:1150i,成功将 90nm 制 程提升到 65nm。同期尼康宣布采用干式微影技术的 157nm 产品和电子束投射(EPL)产品 样机研制成功。但阿斯麦的产品相对于尼康的全新研发,属于改进型成熟产品,半导体芯片 厂应用成本低,设备厂商只需对现有设备做较小的改造,就能将蚀刻精度提升 1-2 代,而且 缩短光波比尼康的效果还好(多缩短 25nm)。因此,几乎没有厂商愿意选择尼康的产品,尼 康溃败由此开始。在后期,尼康也选择调转方向研发浸没式光刻系统,并推出 NSR-S622D、 NSR-S631E、NSR-S635E 等产品,但半导体产业更新换代迅速,而新产品总是需要至少 1-3 年时间由前后道多家厂商通力磨合。ASML 在浸没式系统上的领先比尼康多了时间去改 善问题和提高良率。导致尼康产品可靠性始终落后于 ASML,也是从此刻,代表日本高端光 刻机的尼康逐渐败给了日后的高端光刻龙头 ASML。

利用浸没式系统持稳固竞争优势。2006 年,ASML 首台量产的浸入式光刻机 TWINSCAN XT:1700i 发布,该光刻机比之前较先进的干法光刻机分辨率提高了 30%,可以用于 45nm 量 产。2007 年,阿斯麦配合台积电的技术方向,发布较早的采用 193nm 光源的浸没式光刻系统 TWINSCAN XT:1900i,由此一举垄断市场。得益于浸没式光刻,ASML 光刻机销量占全球 销量比例从 2001 年的 25.0%上升 2010 年的 68.9%。ASML 和台积电的合作也更为紧密。反过来,选择 ASML 产品的台积电、三星、英特尔也在之后不断突破制程束缚,成为世界半 导体制造豪强。随着工艺进步,浸没式光刻的诸多缺点也被 ASML 一一解决,缺陷率和产能 都有较好改善,目前仍未主流的光刻机型之一。
积极改进浸没式系统,推进制程极限至 7/5nm。到了 2010 年后,制程工艺尺寸进化到 22nm,已经超越浸没式 DUV 的蚀刻精度。在 EUV 技术取得应用突破之前,包括 ASML 在 内的相关企业也在积极改进浸没式光刻系统。从设备、工艺和器件方面多管齐下,开发出高 NA 镜头、多光罩、FinFET、两次曝光、Pitch-split、波段灵敏光刻胶等技术。目前,对于 ASNL 较先进的浸没式光刻机 Twinscan NXT: 2000i,在各种先进工艺与材料的配合下,制 程极限已达 7/5nm。这使得浸没式光刻系统在 EUV 面世前得以继续延续摩尔定律,并促进 ASML 进一步拉开与尼康、佳能的差距。中国首台 Twinscan NXT: 2000i 已于 2018 年 12 月正式搬入 SK 海力士位于无锡的工厂。
2.4 2010-至今:打通 EUV 光刻产业链,成为全球 EUV 光刻机独 家供应商
13.5nm 引领下一代光源,新技术面临巨大挑战。下一代 EUV 光刻系统采用波长为 13.5nm的极紫外光作为曝光光源,是之前193nm的1/14。该光源被称为激光等离子体光源, 是通过用高功率二氧化碳激光器激发锡(Sn)金属液滴,通过高价 Sn 离子能级间的跃迁获 得 13.5nm 波长的辐射。除上文所述问题外,该光源的稳定性和聚光元件的保护也是巨大的 挑战,因为用于激发的激光器本身存在抖动,激光与等离子体作用时产生的污染将会对光源 聚光元件造成影响和破坏。EUV 光源的技术基本只掌握在美国 Cymer 公司手中。

EUV 光刻机——较高级科学与较高级制造的结合。EUV 波长只有 13.5nm,穿透物体时散射 吸收强度较大,这使得光刻机的光源功率要求极高,此外机器内部需是真空环境,避免空气 对 EUV 的吸收,透镜和反射镜系统也极致精密,配套的抗蚀剂和防护膜的良品率也需要更 先进技术去提升,一台 EUV 光刻机重达 180 吨,超过 10 万个零件,需要 40 个集装箱运输, 安装调试都要超过一年时间。总之,EUV 光刻机几乎逼近物理学、材料学以及精密制造的极 限。所以 EUV 不仅是较高级科学的研究,也是较高级精密制造的学问。
2010 年首发 EUV 光刻机,目前成为全球一家 EUV 光刻机供应商。2010 年,ASML 首次发售概念性的 EUV 光刻系统 NXW:3100,从而开启光刻系统的新时代。2013 年,ASML 发售第二代 EUV 系统 NXE:3300B,但是精度与效率不具备 10nm 以下制程的生产效益;2015 年又推出第三代 EUV 系统 NXE:3350。2016 年,第一批面向制造的 EUV 系统 NXE:3400B 开始批量发售,NXE:3400B 的光学与机电系统的技术有所突破,极紫外光源的波长缩短至 13nm,每小时处理晶圆 125 片,或每天可 1500 片;连续 4 周的平均生产良率可达 80%, 兼具高生产率与高精度。2019 年推出的 NXE:3400C 更是将产能提高到每小时处理晶圆 175 片。目前,ASML 在售的 EUV 光刻机包括 NXE:3300B 和 NXE:3400C 两种机型。

EUV 成功来源于 ASML 光刻机上游产业链的贯通。在 EUV 光刻机超过 10 万个零件之 中,来自硅谷光科集团的微激光系统、德国蔡司的镜头和 Cymer 的 EUV 光源是最重要的三 环。1997 年英特尔牵头创办了 EUV LLC 联盟,随后 ASML 作为惟一的光刻设备生产商加入 联盟,共享研究成果;2000 年,ASML 收购了美国光刻机巨头 SVGL(硅谷光刻集团);2012 年 ASML 收购 EUV 光源提供商 Cymer,此前 Cymer 就和 ASML 合作已久;2016 年 ASML 公司取得光学镜片龙头德国蔡司 24.9%的股份,以加快推进更大数值孔径(NA)的 EUV 光 学系统。这些收购使得 ASML 几乎参与了整个 EUV 光刻上游产业链。但收购美国企业的过 程使 ASML 必须同意在美国建立一所工厂和一个研发中心,以此满足所有美国本土的产能 需求,另外,还需要保证 55%的零部件均从美国供应商处采购,并接受定期审查,这也为日 后 ASML 向中国出口光刻机受到美国管制埋下伏笔。

EUV 设备在下游市场供不应求。由于上游零部件供应不足(如蔡司的镜头),ASML 的 EUV 光刻机产量一直不高,而下游市场对 7nm 制程的需求却十分旺盛。2011 年英特尔、三 星和台积电共同收购 ASML 23%的股权,帮助 ASML 提升研发预算,同时也享受 EUV 光刻 机的优先供应权。近年来,ASML 已经出货的 EUV 光刻机主要优先供应给台积电、三星、 英特尔等有紧密合作关系的下游厂商。目前所有中国企业中,只有中芯国际向 ASML 订购了 一台 EUV 光刻机,原计划于 2019 年交付,但由于 2018 年底 ASML 的元件供应商 Prodrive工厂的部分库存、生产线被火灾摧毁,再加上 2020 年疫情原因,直到现在 ASML 的 EUV 设备还未向中芯国际交付。目前预计这批设备最快在 2020 年底前完成装机。

ASML 光刻机已经覆盖 EUV 销量、价格节节攀升。自 2010 年第一台 EUV 光刻机面世 起,ASML 的 EUV 光刻机出货量呈增长趋势,尤其是 2017 年开始大幅增加产能,到 2019 年已经实现年出货量 26 台。而如上文所述,EUV 十分复杂的结构与系统使得其单价也逐年 攀升,2019 年 ASMLEUV 光刻机小猴 26 台,占光刻机销售量的 11.4%,销售金额达 30 亿 欧元,占光刻机销售金额的 33.6%。EUV 光刻机单价更是达到了惊人的 1.15 亿欧元/台,约 合 1.3 亿美元,9.2 亿人民币,是浸没式光刻机价格的两倍。
3、 探寻:02 专项加码关键技术突破,本土光刻产业链构建正当时
《瓦森纳协定》管制国内光刻机及原件进口,02 专项打造本土化光刻产业链。上海微 电子是国内高端晶圆制造光刻机希望,其产品较先进制程已达 90nm,产品在 OLED、LED 和后道封装市场有较高市占率。由于《瓦森纳协定》的限制,上海微电子很难从国外进口用 于生产高端光刻机的部件,因此只能依靠国内相关企业的研发进展。为强化国内半导体产业 链自主研发能力,国务院于“十二五”规划期间推出“极大规模集成电路制造装备及成套工 艺”重大专项,简称“02 专项”,旨在突破集成电路制造装备、材料、工艺、封测等核心技 术,形成完整的产业链,具备国际竞争力。上海微电子的 90nm 制程光刻机正是通过承担“02 专项”的“90nm 光刻机样机研制”项目,于 2018 年 3 月面世。在“02 专项”的大力支持 下,已经有一些国内企业在光刻产业链的部分领域达到或接近国际先进水平,可能成为上海 微电子下一代浸没式光刻机的潜在供应商。
3.1 光刻机组件:“02 专项”强化国产物镜、光源、浸没式系统等 高端光刻组件(略)
3.1.1 国科精密:承担光刻机“心脏”建设,浸没式曝光系统已通过“02 专 项”验收
3.1.2 科益虹源:预计 2020 年协助整机单位完成 28nm 浸没式
3.1.3 启尔机电:全球第三家拥有光刻机浸没式系统研发能力公司
3.1.4 华卓精科:双工作台技术打破 ASML 垄断
3.1.5 福晶科技:全球非线性光学晶体龙头,已具备向 ASML 供货 能力
3.2 配套光刻胶:ArFi、EUV 光刻胶初见锋芒,南大光电领衔国 内公司加速国产替代
国内企业在 LED、面板光刻胶领域已有一定竞争力。据新材料在线报告统计,国内企业 在 LED 光刻胶领域国产率已达 100%,在 LCD 光刻胶的领域,国外厂商仍然占有主导地位, 但随着国内厂商的技术进步与中国面板行业本身的发展,这一状况正在得到改观。雅克科技、 晶瑞股份、容大感光、飞凯材料等公司已在 CF 彩色光刻胶、LCD 光刻胶领域实现突破。
高端光刻胶——与光刻机一起决定制程极限。高端光刻胶包括 KrF、ArF 光刻胶与 EUV 光刻胶,分别搭配 KrF、ArF、EUV 光刻机使用。高端光刻胶的性能与光刻机一起决定了制 程极限,因此光刻机的发展必须考虑光刻胶的协同推进。目前全球高端光刻胶市场基本被日 本合成橡胶、东京日化、杜邦、信越化工等国际厂商垄断,日本合成橡胶(JSR)与比利时 微电子研究中心(IMEC)的合资企业以及东京应化已经有能力供应面向 10nm 以下半导体 制程的 EUV 极紫外光刻胶,主要面向 45nm 以下制程工艺的浸没法 ArF 光刻胶在国际上已 经成主流。由于 EUV 光刻机还未进入国内晶圆厂,我国目前还没有 EUV 光刻胶需求,而 KrF、ArF 光刻胶几乎全部依赖进口,国内仅有南大光电、北京科华依托 02 专项的支持实现 突破。

3.2.1 南大光电:国内高端光刻胶稀缺标的,ArF 光刻胶研发领跑国内
3.2.2 晶瑞股份:覆盖四大泛半导体领域,KrF 光刻胶完成中试 晶瑞股份:下游覆盖四大泛半导体行业。
3.2.3 雅克科技:并购切入面板光刻胶及辅材领域,大基金注资 5.5 亿元
3.2.4 容大感光:建设千吨级 IC 用光刻胶产线
3.2.5 上海新阳:购置 ASML 光刻机,i-line、KrF、ArF 光刻胶多 管齐下
3.2.6 北京科华:EUV 光刻胶已通过 02 专项验收
3.3 配套光刻气:决定分辨率范围,华特气体、凯美特气完善国内 光刻气链条
光刻气——决定分辨率范围的混配气体,国内进口受到制约。光刻气是光刻机产生深紫 外激光的气体,在腔体内受高压激发后,由于电子跃迁,产生了一定波长的光,不同的光刻 气和电压可产生不同波长的光,经过聚合、滤波处理后便形成光刻机的光源,这直接决定了 光刻机的分辨率范围。光刻气也是诸如科益虹源一类准分子激光器公司的研发基础。光刻气 一般是混配气体,对配比精度与纯度的极高要求直接导致了光刻气的技术难度升高。目前光 刻气市场被林德集团、液化空气集团、普莱克斯集团等国际供应商主导,国内进口受到制约, 急需发展国产替代品。在本土厂商中,华特气体光刻气已通过 ASML 认证,凯美特气的激光 器保护气体国内领先。

3.3.1 华特气体:全球第四家获得 ASML 光刻气认证公司
3.3.2 凯美特气:布局稀有气体,完善国内光刻激光器保护气体链条
3.4 配套光罩:光罩占半导体材料市场份额 13%,高世代光罩实现 突破
3.4.1 菲利华:G8 代大尺寸石英基板打破国外公司技术垄断
3.4.2 清溢光电:国内光罩领域龙头,客户资源丰富
3.5 配套设备:涂胶显影设备为光刻必要环节,检测设备成为提升 良率关键
3.5.1 芯源微:国内涂胶显影设备龙头,打破 TEL 国内垄断
3.5.2 精测电子:半导体前、后道检测布局双管齐下,半导体订单 密集落地
3.5.3 睿励科学:膜厚设备进入三星、长江存储和上海华力
3.5.4 赛腾股份:收购 Optima 切入半导体前道检测赛道
4、 希冀:本土光刻产业链协同发展,上海微电子下一代光 刻机突破在即
4.1 晶圆前道光刻机制程达 90nm,封装、LED、面板光刻机市占 率较高
国内光刻机最前沿公司,制程达 90nm,产品覆盖 IC、面板、LED/MEMS/Power。上 海微电子装备(集团)股份有限公司(SMEE)成立于 2002 年,主要致力于半导体装备开发、设 计、制造、销售及技术服务。公司设备包括光刻机、后道检测设备、激光退火设备、封装设 备等,广泛应用于集成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域,主要产品为用于 IC、面板、LED/MEMS/Power 的光刻机,是目前国内在光刻 机领域最前沿的公司,其较先进的 600 系列光刻机制程为达到 90nm,代表国内同行业较高 水平。根据芯思想数据,上海微电子 2018 年光刻机出货量大概在 50-60 台之间。

公司光刻机在封装、LED、面板领域市占率较高。
国内在光刻机领域最前沿的公司,其较先进的 600 系列光刻机制程为达到 90nm。IC 领域,公司自 2002 年创立至今积极投入 IC 前道光刻机产品研发,公司 600 系列步进扫描投 影光刻机采用四倍缩小倍率的投影物镜、工艺自适应调焦调平技术,及高速高精的自减振六 自由度工件台掩模台技术,可满足 IC 前道制造 90nm、110nm、280nm 光刻工艺需求,适 用于 8、12 寸线的大规模工业生产。公司较先进的 SSA600/20 光刻机分辨率可达 90nm, 与 ASML 早期产品的翻新版 PAS5500/1500C 在分辨率精度上属于同一类别。虽与 ASML 有一定差距,但 90nm 制程仍有广阔应用,例如手机上的蓝牙芯片、射频芯片、功放芯片、 电源管理芯片等,以及日常所用的路由器芯片、各种电器驱动芯片等需要用到这种光刻机。

封装领域,公司的 500 系列步进投影光刻机不仅适用于晶圆级封装的重新布线以及 Flip Chip 工艺中常用的金凸块、焊料凸块、铜柱等先进封装光刻工艺,还可以通过选配背面对 准模块,满足 MEMS 和 2.5D/3D 封装的 TSV 光刻工艺需求。公司从低端切入市场,已成为 长电科技、日月光半导体、通富微电等封测龙头企业的重要供应商,并出口海外市场。
LED 领域,公司的 300 系列步进投影光刻机面向 6 英寸以下中小基底先进光刻应用领 域,具备高分辨率(0.8um)、高速在线 Mapping、高精度拼接及套刻、多尺寸基底自适应、 完美匹配 Aligner 和高产能等特征,满足 HB-LED、MEMS 和 Power Devices 等领域单双面 光刻工艺需求。SSB300 用于 2-6 英寸基底 LED 的 PSS 和电极光刻工艺;SSB320 用于 LED 生产中芯片制作光刻工艺,采用超大曝光视场,通过掩模优化设计减少曝光场,减少重复芯 片损失,显著提高产能。
面板领域,公司 200 系列投影光刻机采用先进的投影光刻机平台技术,专用于 AM-OLED 和 LCD 显示屏 TFT 电路制造,具备高精度(1.5μm)、支持小 Mask(6 英寸)降低用户使 用成本和智能化校准及诊断特征,可应用于 2.5 代~6 代的 TFT 显示屏量产线。系列设备具 备高分辨率、高套刻精度等特性,支持 6 英寸掩模,显著降低用户使用成本。

4.2 国产光刻链的打通助力上海微电子下一代光刻机突破
197nmArF DUV 浸没式系统不需要颠覆式技术,配合多重光刻、刻蚀沉积工艺可达到 7nm 制程。ArF 光源自 1982 年由 IBM 的 Kanti Jain 开创性提出,上世纪 90 年代成为了主 流,并沿用到现在,是一个非常长寿的光源技术。ASML 与尼康在 197nm 之后的技术之争 已经说明,193nmArF 光源配合浸没式系统与多重光刻、刻蚀、沉积工艺,可以将技术节点 不断突破至 45nm、28nm 甚至 7nm,整个过程不需要开发新的颠覆式技术。而上微较先进 的光刻机 SSA600/20 已经使用波长为 197nm 的 ArF 深紫外激光,因此在光源水平上已经跨 入先进光刻机的进化序列中。
国产光刻产业链打通,上微未来有望逐步实现 45、28nm 先进制程。目前上海微电子装 备正在承担国家科技重大专项 02 专项在“十三五”期间的标志性项目“28nm 节点浸没式分 步重复投影光刻机研发成功并实现产业化”。随着“02 专项”成果陆续验收,之前制约国产 光刻机发展的关键——光刻产业链正在不断完善,特别是科益虹源、国科精密、启尔机电、 北京科华等公司承接的有关浸没式系统的技术产品不断通过验收,在国外光刻技术管制背景 下,上海微电子在关键组件和配套设备的供应上已实现相当比例的国产替代,预计上海微电 子下一代浸没式光刻机突破在即。鉴于光刻机的重要性,届时国产光刻产业链和半导体产业 链均将迎来发展黄金时期。

5、 推荐标的(略,详见报告原文)
来源:http://www.dataguru.cn/article-15767-1.html
关键词:

版权声明:本站原创和会员推荐转载文章,仅供学习交流使用,不会用于任何商业用途,转载本站文章请注明来源、原文链接和作者,否则产生的任何版权纠纷与本站无关,如果有文章侵犯到原作者的权益,请您与我们联系删除或者进行授权,联系邮箱:service@datagold.com.cn。